δείτε την πρωτότυπη σελίδα τεκμηρίου
στον ιστότοπο του αποθετηρίου του φορέα για περισσότερες πληροφορίες και για να δείτε όλα τα ψηφιακά αρχεία του τεκμηρίου*
κοινοποιήστε το τεκμήριο




1997 (EL)

The energy efficiency of IRAM architectures (EN)

McGaughy, B (EN)
Patterson, D (EN)
Yelick, K (EN)
Anderson, T (EN)
Perissakis, S (EN)
Cardwell, N (EN)
Kozyrakis, C (EN)
Fromm, R (EN)

Portable systems demand energy efficiency in order to maximize battery l$e. IRAM architectures, which combine DRAM and aprocessor on the same chip in a DRAMprocess, are more energy efficient than conventional systems. The high density of DRAMpermits a much larger amount of memory on-chip than a traditional SRAM cache design in a logic process. This allows most or all IRAM (EN)

journalArticle (EN)

Memory Access (EN)
Memory Hierarchy (EN)
Energy Efficient (EN)
High Density (EN)
Low Power (EN)
Energy Consumption (EN)
Logical Process (EN)
Satisfiability (EN)


ACM Sigarch Computer Architecture News (EN)

1997 (EN)

10.1145/384286.264214 (EN)




*Η εύρυθμη και αδιάλειπτη λειτουργία των διαδικτυακών διευθύνσεων των συλλογών (ψηφιακό αρχείο, καρτέλα τεκμηρίου στο αποθετήριο) είναι αποκλειστική ευθύνη των αντίστοιχων Φορέων περιεχομένου.